diff --git a/gl/gl_mgr.sv b/gl/gl_mgr.sv index bebc170ddef99409b8a0f9eb0f5234e21b1300b1..0758fafedca7e24aba2d6a08e31beec59dc314ce 100644 --- a/gl/gl_mgr.sv +++ b/gl/gl_mgr.sv @@ -266,6 +266,44 @@ module gl_mgr ( .RC_DATA_RD (rc_data_out1) ); + // Polygon + logic polygon_en, polygon_done; + // Memory write connection + logic polygon_PAINT_REQ /*synthesis keep*/; + logic [9:0] polygon_PAINT_X, polygon_PAINT_Y /*synthesis keep*/; + logic [15:0] polygon_PAINT_RGB16 /*synthesis keep*/; + // Redraw cache connection + logic [18:0] polygon_RC_ADDR; + logic polygon_RC_DATA_WR; + logic polygon_RC_WE; + logic polygon_RC_DATA_RD; + gl_painter_polygon painter_polygon( + .CLOCK (CLOCK), + .RESET (RESET), + .XT (GL_ARG1[19:10]), + .YT (GL_ARG1[9:0]), + .XB (GL_ARG2[19:10]), + .YB (GL_ARG2[9:0]), + .XL (GL_ARG3[19:10]), + .YL (GL_ARG3[9:0]), + .XR (GL_ARG4[19:10]), + .YR (GL_ARG4[9:0]), + .C0 (GL_ARG5[15:0]), + .C1 (GL_ARG5[31:16]), + .GRAD_HORIZ (GL_ARG6[0]), + .EN (polygon_en), + .DONE (polygon_done), + .PAINT_BUFFER (paint_buffer), + .fb_PAINT_REQ (polygon_PAINT_REQ), + .fb_PAINT_X (polygon_PAINT_X), + .fb_PAINT_Y (polygon_PAINT_Y), + .fb_PAINT_RGB16(polygon_PAINT_RGB16), + .fb_PAINT_READY(fb_PAINT_READY), + .RC_ADDR (polygon_RC_ADDR), + .RC_DATA_WR (polygon_RC_DATA_WR), + .RC_WE (polygon_RC_WE), + .RC_DATA_RD (rc_data_out1) + ); always_comb begin @@ -307,5 +345,19 @@ module gl_mgr ( circle_en = 1'b0; end + if (GL_CMD == `GL_CMD_POLYGON) begin + polygon_en = GL_EXEC; + GL_DONE = polygon_done; + fb_PAINT_REQ = polygon_PAINT_REQ; + fb_PAINT_X = polygon_PAINT_X; + fb_PAINT_Y = polygon_PAINT_Y; + fb_PAINT_RGB16 = polygon_PAINT_RGB16; + rc_addr1 = polygon_RC_ADDR; + rc_data_in1 = polygon_RC_DATA_WR; + rc_we1 = polygon_RC_WE; + end else begin + polygon_en = 1'b0; + end + end endmodule diff --git a/gl/oto_gl_hw.tcl b/gl/oto_gl_hw.tcl index cb066edbfd501b0bdeb977c49890add472a94d82..12db108ece5460b26c313babada69f5d49915508 100644 --- a/gl/oto_gl_hw.tcl +++ b/gl/oto_gl_hw.tcl @@ -1,11 +1,11 @@ # TCL File Generated by Component Editor 17.1 -# Sat Dec 02 16:17:13 CST 2017 +# Sun Dec 03 22:29:28 CST 2017 # DO NOT MODIFY # # oto_gl "otofpga Graphics Library" v1.0 -# 2017.12.02.16:17:13 +# 2017.12.03.22:29:28 # # @@ -50,6 +50,9 @@ add_fileset_file gl_sram_s.sv SYSTEM_VERILOG PATH gl_sram_s.sv add_fileset_file gl_vga.sv SYSTEM_VERILOG PATH gl_vga.sv add_fileset_file gl_painter_rect.sv SYSTEM_VERILOG PATH painters/gl_painter_rect.sv add_fileset_file gl_painter_circle.sv SYSTEM_VERILOG PATH painters/gl_painter_circle.sv +add_fileset_file gl_painter_polygon.sv SYSTEM_VERILOG PATH painters/gl_painter_polygon.sv +add_fileset_file gl_blender.sv SYSTEM_VERILOG PATH utils/gl_blender.sv +add_fileset_file gl_line_scanner.sv SYSTEM_VERILOG PATH utils/gl_line_scanner.sv # diff --git a/gl/painters/gl_painter_polygon.sv b/gl/painters/gl_painter_polygon.sv new file mode 100644 index 0000000000000000000000000000000000000000..a68dfd54930d831a998a56357b7b130b58e95109 --- /dev/null +++ b/gl/painters/gl_painter_polygon.sv @@ -0,0 +1,165 @@ +module gl_painter_polygon ( + // Clock + input logic CLOCK, RESET, + + // Arguments + input logic[15:0] XT, YT, XB, YB, XL, YL, XR, YR, + input logic[15:0] C0, C1, + input logic GRAD_HORIZ, + + // Status Control + input logic EN, + output logic DONE, + + input logic PAINT_BUFFER, + + // Memory write connection + output logic fb_PAINT_REQ, + output logic [9:0] fb_PAINT_X, fb_PAINT_Y, + output logic [15:0] fb_PAINT_RGB16, + input logic fb_PAINT_READY, + + // Redraw cache connection + output logic [19:0] RC_ADDR, + output logic RC_DATA_WR, + output logic RC_WE, + input logic RC_DATA_RD +); + + logic [15:0] x, y, x_in, y_in; + logic paint_req_in; + enum logic[3:0] { + s_idle, s_calc_xbeg, s_scan_left, s_scan_right, s_fin + } state, state_next; + + assign fb_PAINT_RGB16 = C0; + assign fb_PAINT_X = x; + assign fb_PAINT_Y = y; + assign RC_ADDR = {fb_PAINT_X, fb_PAINT_Y[8:0], PAINT_BUFFER}; + assign RC_DATA_WR = 1'b1; + + // Inclined line scanner instances + logic [9:0] l_x1, l_y1, l_x2, l_y2, r_x1, r_y1, r_x2, r_y2; + logic l_side, r_side; + gl_line_scanner scanner_left(.X({6'h0, x}), .Y({6'h0, y}), .SIDE(l_side), + .X1({6'h0,l_x1}), .Y1({6'h0,l_y1}), .X2({6'h0,l_x2}), .Y2({6'h0,l_y2})); + gl_line_scanner scanner_right(.X({6'h0, x}), .Y({6'h0, y}), .SIDE(r_side), + .X1({6'h0,r_x1}), .Y1({6'h0,r_y1}), .X2({6'h0,r_x2}), .Y2({6'h0,r_y2})); + + // Midpoint tracking + logic [9:0] l_lim, l_lim_in, r_lim, r_lim_in, xbeg, xbeg_in; + logic [10:0] midpt_2x; + assign midpt_2x = {1'b0, l_lim} + {1'b0, r_lim}; + + always_ff @(posedge CLOCK) begin + if(RESET | ~EN) begin + state <= s_idle; + x <= XT; + y <= YT; + fb_PAINT_REQ <= 1'b0; + l_lim <= XT; + r_lim <= XT; + xbeg <= XT; + end else begin + state <= state_next; + x <= x_in; + y <= y_in; + fb_PAINT_REQ <= paint_req_in; + state <= state_next; + l_lim <= l_lim_in; + r_lim <= r_lim_in; + xbeg <= xbeg_in; + end + end + + always_comb begin + // Default values + state_next = state; + x_in = x; + y_in = y; + xbeg_in = xbeg; + DONE = 1'b0; + RC_WE = 1'b0; + paint_req_in = 1'b0; + l_lim_in = l_lim; + r_lim_in = r_lim; + + case (state) + s_idle: begin + state_next = s_scan_left; + x_in = XT; + y_in = YT; + paint_req_in = 1'b1; + end + + s_scan_left: begin + paint_req_in = 1'b1; + RC_WE = 1'b1; + if(fb_PAINT_READY) begin + // Value written, move to next pixel + x_in = x - 1; + l_lim_in = x_in; + if (~l_side) begin + // Done. draw right part + x_in = xbeg + 1; + state_next = s_scan_right; + end + end + end + + s_scan_right: begin + paint_req_in = 1'b1; + RC_WE = 1'b1; + if(fb_PAINT_READY) begin + // Value written, move to next pixel + x_in = x + 1; + r_lim_in = x_in; + if (r_side) begin + // Done. draw next line + y_in = y + 1; + xbeg_in = midpt_2x[10:1]; + x_in = xbeg_in; + state_next = s_scan_left; + if (y == YB) begin + // All finished! + state_next = s_fin; + end + end + end + end + + s_fin: begin + DONE = 1'b1; + end + endcase + + // Inclined line scanner segment mapping + if (y < YL) begin + // Left part upper segment + l_x1 = XT; + l_y1 = YT; + l_x2 = XL; + l_y2 = YL; + end else begin + // Left part lower segment + l_x1 = XL; + l_y1 = YL; + l_x2 = XB; + l_y2 = YB; + end + if (y < YR) begin + // Right part upper segment + r_x1 = XT; + r_y1 = YT; + r_x2 = XR; + r_y2 = YR; + end else begin + // Right part lower segment + r_x1 = XR; + r_y1 = YR; + r_x2 = XB; + r_y2 = YB; + end + end + +endmodule diff --git a/gl/utils/gl_blender.sv b/gl/utils/gl_blender.sv new file mode 100644 index 0000000000000000000000000000000000000000..d2cd409ab35ce67c1ee380fc99ed81e38aad397a --- /dev/null +++ b/gl/utils/gl_blender.sv @@ -0,0 +1,14 @@ +module gl_blender ( + input logic [15:0] V0, V1, PCT, + output logic [15:0] V +); + +logic [15:0] pct_n; +logic [31:0] comp_0, comp_1; + +assign pct_n = 16'hffff - PCT; +assign comp_0 = V0 * pct_n; +assign comp_1 = V1 * PCT; +assign V = comp_0[31:16] + comp_1[31:16]; + +endmodule diff --git a/gl/utils/gl_line_scanner.sv b/gl/utils/gl_line_scanner.sv new file mode 100644 index 0000000000000000000000000000000000000000..8f3c7953194cbf43b7af157bd8ab56e651c1e3fc --- /dev/null +++ b/gl/utils/gl_line_scanner.sv @@ -0,0 +1,12 @@ +module gl_line_scanner ( + input logic signed [15:0] X1, Y1, X2, Y2, + input logic signed [15:0] X, Y, + output logic SIDE +); + +logic signed [31:0] prod1, prod2 /*synthesis keep*/; +assign prod1 = (X-X1) * (Y2-Y1); +assign prod2 = (Y-Y1) * (X2-X1); +assign SIDE = (prod1 - prod2)>0; + +endmodule diff --git a/osu_fpga_toplevel.qsf b/osu_fpga_toplevel.qsf index 9398ccb39608ba323760c44563f2b9c3b4527cba..d7d77e80221bedcaecf260a9ebd8a060ac1b8fdb 100644 --- a/osu_fpga_toplevel.qsf +++ b/osu_fpga_toplevel.qsf @@ -1144,9 +1144,6 @@ set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -s set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INCREMENTAL_ROUTING=1" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[0] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[11] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[15] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=8192" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0 @@ -1161,167 +1158,206 @@ set_global_assignment -name SDC_FILE timing.sdc set_global_assignment -name SYSTEMVERILOG_FILE hexdriver.sv set_global_assignment -name SYSTEMVERILOG_FILE osu_fpga_toplevel.sv set_global_assignment -name SIGNALTAP_FILE stp1.stp -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_CS" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READ" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITE" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_ADDR[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_ADDR[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_ADDR[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_ADDR[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_CS" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READ" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[16]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[17]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[18]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[19]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[20]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[21]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[22]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[23]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[24]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[25]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[26]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[27]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[28]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[29]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[30]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[31]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_READDATA[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITE" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[16]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[17]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[18]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[19]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[20]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[21]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[22]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[23]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[24]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[25]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[26]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[27]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[28]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[29]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[30]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[31]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "otogame:main_soc|gl_avalon_intf:gl|AVL_GL_WRITEDATA[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_CMD[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_CMD[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_CMD[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_CMD[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_DONE" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_EXEC" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_FRAME_FINISHED" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_TIMEOUT" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[3] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[19] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[24] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[26] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[7] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[10] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=27" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[18] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[22] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[27] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=1" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_DONE" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_EXEC" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_FRAME_FINISHED" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_TIMEOUT" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|EN" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_vga:vga|VGA_INTERFRAME" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|EN" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_RGB16[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_X[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_X[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_X[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_X[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_X[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_X[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_X[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_X[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_X[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_X[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_Y[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_Y[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_Y[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_Y[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_Y[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_Y[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_Y[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_Y[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_Y[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_rect:painter_rect|fb_PAINT_Y[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_vga:vga|VGA_INTERFRAME" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=117" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=9" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_BITS=117" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[25] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[2] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[26] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[3] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[21] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[6] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[31] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[29] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[30] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[0] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[1] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[2] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[4] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[5] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[6] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[8] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[9] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[12] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[13] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[14] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[16] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[17] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[20] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[21] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[7] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[9] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[11] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[13] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[14] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[16] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[18] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[19] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[20] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[12] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[17] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[28] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_DONE" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_EXEC" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_FRAME_FINISHED" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_TIMEOUT" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|SIDE" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_CMD[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_CMD[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_CMD[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_CMD[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_DONE" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_EXEC" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_FRAME_FINISHED" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|GL_TIMEOUT" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|SIDE" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[15]" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=5" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=sld_reserved_osu_fpga_auto_signaltap_0_1_b687," -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X1[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X2[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|X[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y1[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y2[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|gl_line_scanner:scanner_left|Y[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|l_lim[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|l_lim[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|l_lim[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|l_lim[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|l_lim[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|l_lim[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|l_lim[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|l_lim[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|l_lim[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|l_lim[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|r_lim[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|r_lim[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|r_lim[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|r_lim[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|r_lim[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|r_lim[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|r_lim[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|r_lim[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|r_lim[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|r_lim[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|x[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "otogame:main_soc|gl_avalon_intf:gl|gl_mgr:gl_inst|gl_painter_polygon:painter_polygon|y[9]" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=157" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_BITS=157" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[8] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[15] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[23] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[25] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[28] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[29] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[30] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[31] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=sld_reserved_osu_fpga_auto_signaltap_0_1_98ff," -section_id auto_signaltap_0 -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top -set_global_assignment -name SLD_FILE db/stp1_auto_stripped.stp \ No newline at end of file +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[24] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[27] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_global_assignment -name SLD_FILE db/stp1_auto_stripped.stp +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/otogame.qsys b/otogame.qsys index ebb0b2d61600779bb010732ecd7178b4cc639f5f..c40be690f271f7d3691f825062058bc951534e5c 100644 --- a/otogame.qsys +++ b/otogame.qsys @@ -483,6 +483,14 @@ type = "String"; } } + element otogame + { + datum _originalDeviceFamily + { + value = "Cyclone IV E"; + type = "String"; + } + } element pio_hex { datum _sortIndex @@ -1486,7 +1494,7 @@ <parameter name="tracefilename" value="" /> <parameter name="userDefinedSettings" value="" /> </module> - <module name="proc_usb" kind="altera_nios2_gen2" version="17.1" enabled="1"> + <module name="proc_usb" kind="altera_nios2_gen2" version="17.1" enabled="0"> <parameter name="AUTO_CLK_CLOCK_DOMAIN" value="1" /> <parameter name="AUTO_CLK_RESET_DOMAIN" value="1" /> <parameter name="AUTO_DEVICE" value="EP4CE115F29C7" /> @@ -1704,7 +1712,7 @@ name="sysid_usb" kind="altera_avalon_sysid_qsys" version="17.1" - enabled="1"> + enabled="0"> <parameter name="id" value="1970496033" /> </module> <module name="timer" kind="altera_avalon_timer" version="17.1" enabled="1"> diff --git a/software/osu_main/src/gl/painters.c b/software/osu_main/src/gl/painters.c index f4beb0396541683938d603b7e657094f789cc949..62b639afa8a33b8680c92d76b841d3e27dc76605 100644 --- a/software/osu_main/src/gl/painters.c +++ b/software/osu_main/src/gl/painters.c @@ -32,3 +32,21 @@ void gl_circle(uint16_t x0, uint16_t y0, uint16_t r, GL_IOWR(GL_COMMAND, 0, GL_CMD_CIRCLE); } +void gl_polygon(uint16_t x_top, uint16_t y_top, + uint16_t x_bot, uint16_t y_bot, + uint16_t x_left, uint16_t y_left, + uint16_t x_right, uint16_t y_right, + uint16_t color0, uint16_t color1, + uint8_t gradient_direction) { + gl_wait(); + + GL_IOWR(GL_ARGS, 0, gl_make_point(x_top, y_top)); + GL_IOWR(GL_ARGS, 1, gl_make_point(x_bot, y_bot)); + GL_IOWR(GL_ARGS, 2, gl_make_point(x_left, y_left)); + GL_IOWR(GL_ARGS, 3, gl_make_point(x_right, y_right)); + GL_IOWR(GL_ARGS, 4, (color1<<16) | color0); + GL_IOWR(GL_ARGS, 5, gradient_direction); + + GL_IOWR(GL_COMMAND, 0, GL_CMD_POLYGON); +} + diff --git a/software/osu_main/src/gl/painters.h b/software/osu_main/src/gl/painters.h index 85a481da86d8932fa2c6fbc6093f67b1ed44147e..83b9d2af515f6671a23c02f18ce0394362c923ae 100644 --- a/software/osu_main/src/gl/painters.h +++ b/software/osu_main/src/gl/painters.h @@ -7,4 +7,15 @@ void gl_rect(uint16_t x0, uint16_t y0, uint16_t x1, uint16_t y1, uint16_t color0, uint16_t color1, uint16_t stroke, uint8_t gradient_direction); +void gl_circle(uint16_t x0, uint16_t y0, uint16_t r, + uint16_t color0, uint16_t color1, uint16_t stroke, + uint8_t gradient_direction); + +void gl_polygon(uint16_t x_top, uint16_t y_top, + uint16_t x_bot, uint16_t y_bot, + uint16_t x_left, uint16_t y_left, + uint16_t x_right, uint16_t y_right, + uint16_t color0, uint16_t color1, + uint8_t gradient_direction); + #endif diff --git a/software/osu_main/src/main.c b/software/osu_main/src/main.c index 795f0a03b03824a033720e800ca3a94bd90a03ae..016456f75af9c38dcd8d781edd5fffab4f4173a0 100644 --- a/software/osu_main/src/main.c +++ b/software/osu_main/src/main.c @@ -64,8 +64,9 @@ int main() { printf("(%d, %d) wheel=%d btn=%x\n", x, y, sch, btn); } */ - gl_rect(25, 25, 40, 40, gl_make_color(0x66ccff), gl_make_color(0x66ccff), gl_make_color(0xffffff), 1); - gl_circle(200,200,50,gl_make_color(0x66ccff),gl_make_color(0x66ccff), gl_make_color(0xffffff), 1); + gl_rect(100, 100, 200, 364, gl_make_color(0x66ccff), gl_make_color(0xffcccc), gl_make_color(0xffffff), 1); +// gl_circle(200,200,50,gl_make_color(0x66ccff),gl_make_color(0x66ccff), gl_make_color(0xffffff), 1); + gl_polygon(300,100,400,300,200,170,400,230, gl_make_color(0x66ff66), gl_make_color(0x66ff66), 1); gl_finalize_frame(); }